梦幻西游手游飞剑法宝:vhdl 语言 prevent further processing 是什么原因,有几种可能

来源:百度文库 编辑:杭州交通信息网 时间:2024/05/13 00:54:00
本人程序为:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library work;
use work.all;
--************************************************************************
entity state_all is
port( clk ,state :in std_logic;
l :out std_logic_vector( 0 downto 11)
);
end state_all;
--*************************************************************************
architecture struct of state_all is
component normal_state
port( clk:in std_logic;
l:out std_logic_vector(0 downto 11)
);
end component;

component normal_state_in
port( clk:in std_logic;
l:out std_logic_vector(0 downto 11)
);
end component;

component normal_state_out
port( clk:in std_logic;
l:out std_logic_vector(0 downto 11)
);
end component;

begin
process(state)
begin
if state='0'then
U1:normal_state port map(clk ,l);
elsif state='1' then
U2:abnormal_state_in port map(clk,l);
elsif state='x' then
U3:abnormal_state_out port map(clk,l);
else
U4:normla_state port map(clk,l);
end if;
end process ;
end struct;
\\MAX+ plus2 10.2
windows xp2 home;
save and check: -----.vhd prevent further processing

程序中有逻辑问题,我的程序也出现了。。

以前在21ic.com见过类似的问题